lithos Twitter
Lithos Header
Last Updated
Age in hrs 
1
2
3
5
8
13
21
34
55
⬇ Latest Press Releases ⬇

 SK hynix Board Approves Yongin Semiconductor Cluster Investment Plan  - News Highlights Company confirms investment for the construction of auxiliary facilities necessary for the initial…

 Explore the Cost-Effective Way to Support Mandatory ADAS Systems  - This blog recaps the recent trends in mandatory ADAS features and NCAP scenarios and discusses how to simultaneously support them with minimal increase in vehicle costs.

 Towards efficient ESD protection strategies for advanced 3D systems-on-chip  - The continuous scaling of interconnect densities in 2.5D/3D technologies leaves little room for implementing ESD protection circuits at the internal I/O interfaces. This forces industry to invest more heavily in ESD prevention during die-to-die and die-to-wafer bonding steps, and to avoid over-design...

 Coherent Corp. Reaches Milestone in Thirty Meter Telescope (TMT) Project.  - July 25, 2024. Coherent Corp. is proud to announce the completion of its 100th polished mirror segment.

 New Leader for Foundry Manufacturing, Supply Chain  - Dr. Naga Chandrasekaran succeeds retiring Keyvan Esfarjani as chief global operations officer.

 Chiplets Moving Into the Fast Lane: Transforming Automotive Processors  - Chiplets moving into the Fast Lane: Transforming Automotive Processors Discover how chiplet technology is transforming the automotive industry, offering higher yields, faster development, and reduced costs. TechInsights predicts significant chiplet

 PsiQuantum To Build First US-Based Utility-Scale Quantum Computer in Chicago, Illinois  - PsiQuantum announced a far-reaching partnership with the State of Illinois, Cook County, and the City of Chicago to build the first US-based utility-scale, fault-tolerant quantum computer at the forme ... PsiQuantum announced a far-reaching partnership with the State of Illinois, Cook County, and the...

 Unleash the Dragonborn: ‘Elder Scrolls V: Skyrim Special Edition’ Joins GeForce NOW  - “Hey, you. You’re finally awake.” It’s the summer of Elder Scrolls — whether a seasoned Dragonborn or a new adventurer, dive into the legendary world of Tamriel this GFN Thursday as The Elder Scrolls V: Skyrim Special Edition joins the cloud. Epic ...

 SkyWater Announces Enhanced Capabilities with Multibeam’s First in Industry High Productivity Multicolumn E-Beam Lithography System  - Positions SkyWater’s Minnesota fab with the most advanced 200 mm lithography offering in the world BLOOMINGTON, Minn. and Santa Clara,...

[End Press Releases]

 The world will look back on US foreign policy under Biden with nostalgia  - Whether Trump or Harris wins, Biden’s departure will mark the end of an era of distinctly multilateral American leadership

 Intel nabs Micron exec to oversee foundry business ambitions  - Memory veteran to help Gelsinger and co with longstanding internal/external contract manufacturing plans

 Amkor sees $600m support for $2bn US packaging plant  - Amkor Technology has signed the first stage of $400m direct funding for a packaging and test plant in Arizona under…

 CEO Interview: Dr. Babak Taheri of Silvaco  - Babak A. Taheri, Ph.D., has served as our Chief Executive Officer and member of the Silvaco board of directors from August 2019 to September 2021 and from November 2021 to present. From October 2018 to August 2019, Dr. Taheri served as our Chief Technology Officer and Executive Vice President of Products....

 Chip Industry Week In Review  - Rare-earths deal; top technology megatrends; DDR5 standard; rare earths; chiplet packaging deal; SDV partnership; legacy process nodes; UK-India deal; DRAM, NAND flash revenue The post Chip Industry Week In Review appeared first on Semiconductor Engineering .

 Malaysia wants to splash out on tech sector, but heavy water demand hard to quench  - The country’s water crisis could worsen due to huge demand for the resource from data centres and chip manufacturers, analysts say

 Chinese foundries favor domestic orders, limiting fab capacity for others  - Chinese foundries have prioritized orders from domestic customers, resulting in limited availability of fab capacity for others particularly Taiwan-based ones targeting the Chinese market, according to industry sources.

 US senator attacks Biden administration for failing to halt sales of advanced chips to China  - John Kennedy, Republican of Louisiana, says Commerce Department has not done enough to keep Nvidia semiconductors, key to AI technologies, from Chinese entities

 Towards Safer Air Traffic: Implementing a Secure UTM System for Unmanned Aircraft  - A new laser system makes it possible to build photonic elements inside wafers

 CrowdStrike Blames ‘Undetected Error’ For World’s Largest IT Outage  - CrowdStrike CEO summoned to testify before US Congress, as firm provides update about update that crashed millions of computers last week

 Empowering AI, Hyperscale and Data Center Connectivity with PAM4 SerDes Technology  - The rapid expansion of data-intensive applications, such as artificial intelligence (AI), high-performance computing (HPC), and 5G, necessitates connectivity solutions capable of handling massive amounts of data with high efficiency and reliability. The advent of 224G/112G Serializer/Deserializer (SerDes)...

 Rising memory prices, AI demand boost SK Hynix 2Q24 sales and profit  - SK Hynix has reported revenue hit an all-time high of KRW16.42 trillion (US$11.8 billion) in the second quarter of 2024, when operating profits topped KRW5 trillion for the first time in six years.

 Chinese MCU firms shift focus to automotive, household appliances  - While consumer electronics demand is experiencing a sluggish recovery, major Chinese MCU suppliers have redirected their attention to automotive and white household appliances, according to industry sources.

 ASRock Launches Passively Cooled Radeon RX 7900 XTX & XT Cards for Servers  - As sales of GPU-based AI accelerators remain as strong as ever, the immense demand for these cards has led to some server builders going off the beaten path in order to get the hardware they want at a lower price. While both NVIDIA and AMD offer official card configurations for servers, the correspondingly...

 Fractile raises $15m for AI in-memory compute  - Chip startup Fractile has raised $15m for its in-memory AI compute that it says can run the latest AI models…

 Code Metal raises $16.5M for edge AI tool  - Code Metal in Boston has raised $16.5m for its software development platform that integrates traditional formal-methods-based code analysis with custom…

 ST cuts 2024 outlook as industrial and automotive demand slows  - After reporting a 25% year-on-year revenue decline in the second quarter, STMicroelectronics has revised its sales outlook for 2024 downward for the second time.

 TSMC Foundry 2.0 illustrates growing importance of IC backend, says ASEH  - OSAT ASE Technology Holding (ASEH) has stated its enthusiasm for "Foundry 2.0," a novel concept that is designed to transform the foundry industry, which was recently announced by TSMC.

 Japan may offer more support for Rapidus 2nm chip ambition  - The Japanese government is taking significant steps to support Rapidus in its efforts to become a global leader in semiconductor manufacturing. This includes providing financial support, developing specific policies, and potentially enacting new legislation to ensure a stable domestic semiconductor supply...

 China drives Asia’s venture capital market with 6 of the top 10 quarterly deals: KPMG  - A total of 2,155 venture-capital deals worth US$17.4 billion were finalised in the Asia-Pacific region in the year’s second quarter, according to a KPMG report

 SK hynix to Enter 60 TB SSD Club Next Quarter  - SK hynix this week reported its financial results for the second quarter, as well as offering a glimpse at its plans for the coming quarters. Notably among the company's plans for the year is the release of a SK hynix-branded 60 TB SSD, which will mark the firm's entry into the ultra-premium enterprise...

 Smart money piles into South Korean stocks as global AI bet looks for options to TSMC  - Federated Hermes, M\u0026G Investments and Invesco Asset Management are underweight on Taiwanese stocks and overweight on Korean shares

 Customized Analog for the Connected World  - I’ve said it before, and I’ll doubtless say it again; I’m a simple man. As I’ve also said before, this isn’t a pause for you to agree with me enthusiastically because I haven’t completed my train of thought. What I was trying to say was that I’m a simple man who likes a simple story. I …...

 How Amazon’s New CPU Fights Cybersecurity Threats  - The Arm-based Graviton4 protects against branch prediction attacks and more

 Memristive radiofrequency switches show improved performance for mmWave applications  - Radiofrequency (RF) switches are electronic components that control the routing of RF signals between different segments of circuits, for instance turning the signals on and off or redirecting them. These switches are central ...

 You're not hallucinating: generative AI is helping IBM's mainframes grow  - Big Blue brings in more cash and profit than predicted

 Samtec Simplifies Complex Interconnect Design with Solution Blocks  - The development of cost effective, high-performance silicon to silicon interconnect at the system level can be a vexing problem. So many choices, which one will work best? Ease of use and customer support are woven into the DNA of Samtec. Almost four years ago I explored the company’s focus on putting...

 TSMC fab in Germany faces challenges for profitability  - TSMC's German wafer fab will confront three major barriers to profitability: labor unions, high costs, and a limited workforce.